Jul 21, 2013

A sample of SV

I've recently migrated my blog from Typepad to Pelican, hosted on GitHub. One nice feature of that is that I can write simple posts in Markdown syntax and it uses the Pygments syntax highlighting engine. A while ago I added SystemVerilog support to Pygments and looking below, it seems to be working!

module top;
    import user_pkg::*;
    import uvm_pkg::*;
    env e;

    initial begin
        `uvm_info("top","In top initial block",UVM_MEDIUM)
        e = new("env", null);
        run_test();
    end
endmodule

There are comments.

Comments !